SystemVerilog for Advanced Encryption

The ASCON128 Algorithm

Project Details

  • Category: Cryptography
  • Date: December 2033
  • Language: SystemVerilog
  • GitHub: Available Soon

This project focuses on a comprehensive study of an advanced cryptographic system, the ASCON128 encryption algorithm. ASCON, notable for its efficiency in code size and performance across various hardware platforms, especially in resource-limited embedded systems, was designed to meet the stringent criteria of the CAESAR competition for authentication security and encryption. This report details the design and modeling process of the ASCON128 algorithm, emphasizing its implementation in digital systems. It follows the design process of a simplified version of ASCON128 in SystemVerilog, simulated using ModelSim by Mentor Graphics.


Project Description Available Soon